-- -- Package File Template -- -- Purpose: This package defines supplemental types, subtypes, -- constants, and functions -- -- To use any of the example code shown below, uncomment the lines and modify as necessary -- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.ALL; package edc_common is -- type is -- record -- : std_logic_vector( 7 downto 0); -- : std_logic; -- end record; type complex10 is record re : signed( 9 downto 0); im : signed ( 9 downto 0); end record; -- -- Declare constants -- -- constant : time := ns; -- constant : integer := (signal : in ) return ; -- procedure ( : in ); -- end edc_common; package body edc_common is ---- Example 1 -- function (signal : in ) return is -- variable : ; -- begin -- := xor ; -- return ; -- end ; ---- Example 2 -- function (signal : in ; -- signal : in ) return is -- begin -- if ( = '1') then -- return ; -- else -- return 'Z'; -- end if; -- end ; ---- Procedure Example -- procedure ( : in ) is -- -- begin -- -- end ; end edc_common;